Giao_trinh_lap_trinh_verilog_tieng_viet_7__8992

6. Ví dụ 6:
a. Chương trình đổi BCD sang bảy đoạn 
b. Mô phỏng 
7. Ví dụ 7:
a. Chương trình giảm từ 9 xuống 0, hiển thị ra led 7 đoạn 
b. Mô phỏng 
8. Ví dụ 8:
a. Chương trình tăng từ 0 đến 9, hiển thị ra led 7 đoạn
pdf 6 trang thamphan 29/12/2022 1120
Bạn đang xem tài liệu "Giao_trinh_lap_trinh_verilog_tieng_viet_7__8992", để tải tài liệu gốc về máy hãy click vào nút Download ở trên.

File đính kèm:

  • pdfgiao_trinh_lap_trinh_verilog_tieng_viet_7_8992.pdf

Nội dung text: Giao_trinh_lap_trinh_verilog_tieng_viet_7__8992

  1. Tóm tắt bài giảng TK Hệ Thống Số Phần Verilog always @(w0 or w1 or s) begin case (s) 2'b00: y=w0; 2'b01: y=w1; 2'b10: y=w2; default: y = w3; endcase end endmodule b. Mô phỏng 6. Ví dụ 6: a. Chương trình đổi BCD sang bảy đoạn Module mp_led(bcd,led); input [3:0] bcd; output [7:0] led; wire [3:0] bcd; GV: Nguyễn Trọng Hải Trang 36
  2. Tóm tắt bài giảng TK Hệ Thống Số Phần Verilog 7. Ví dụ 7: a. Chương trình giảm từ 9 xuống 0, hiển thị ra led 7 đoạn module bcd (clock, rst, s1, led, digit1); input clock, s1, rst; output [7:0] led; output digit1; reg [7:0] led; reg [3:0] bcd; wire digit1; assign digit1 = 1'b1; always @(posedge clock ) begin if (rst == 1'b1) bcd <= 4'b1001; else if (s1 == 1'b1) bcd <= bcd - 1'b1; if (bcd == 4'b0) bcd <= 4'b1001; end always @(posedge clock) begin case(bcd) 4'b0000: led = 8'b11111100; 4'b0001: led = 8'b01100000; 4'b0010: led = 8'b11011010; 4'b0011: led = 8'b11110010; 4'b0100: led = 8'b01100110; 4'b0101: led = 8'b10110110; 4'b0110: led = 8'b10111110; 4'b0111: led = 8'b11100000; 4'b1000: led = 8'b11111110; 4'b1001: led = 8'b11100110; default: led = 8'b11111111; endcase end endmodule GV: Nguyễn Trọng Hải Trang 38
  3. Tóm tắt bài giảng TK Hệ Thống Số Phần Verilog always @(posedge clock) begin case(bcd) 4'b0000: led = 8'b11111100; 4'b0001: led = 8'b01100000; 4'b0010: led = 8'b11011010; 4'b0011: led = 8'b11110010; 4'b0100: led = 8'b01100110; 4'b0101: led = 8'b10110110; 4'b0110: led = 8'b10111110; 4'b0111: led = 8'b11100000; 4'b1000: led = 8'b11111110; 4'b1001: led = 8'b11100110; default: led = 8'b11111111; endcase end endmodule b. Mô phỏng GV: Nguyễn Trọng Hải Trang 40